SEMICONDUCTORS

The Most Important Tech Company That You’ve Never Heard Of

How an unknown Dutch company gained significant influence over the global electronics supply chain

Jiten Chablani
5 min readJul 25, 2022

For the experienced traveller, Veldhoven is an insignificant suburb in the Netherlands with forty-five thousand residents, hosting one too many roadster bicycles and the occasional art festival. Few would recognise its critical importance to the multi-trillion dollar global tech industry. That’s because the town serves as the headquarters for ASML, a manufacturer of the most advanced machines vital to modern day semiconductors. Although unknown to the public eye, the Dutch conglomerate is amongst the most successful companies in the world, raking in $19bn in revenue with an industry-leading 32% net profit margin. It’s dominance stems from playing virtually uncontested in the advanced photolithography market, which uses light to imprint integrated circuits onto silicon wafers that are fitted into smartphones, cars, military defence grids, and many other technology gadgets.

Legal monopolies are not unheard of in the chip-making business. ASML has cornered the market with its extreme ultraviolet (EUV) machines, which it launched commercially in 2010 and only just achieved volume production in 2018, after decades of research from the world’s leading scientists. The technology produces better performing chips at an affordable cost due to its ability to pack more transistors into a silicon wafer. The devices achieve scientific awe by firing CO2 lasers through a single drop of tin up to 50,000 times per second. This produces an EUV beam, which is then guided through mirrors into a wafer, imprinting patterns within a quarter of a nanometer. The output is a semiconductor chip that is 6 times smaller in diameter than a strand of hair (5 nanometres) which can power the most complex technology in existence. The machines have been lauded as a marvel of science due to their complexity and role in keeping Moore’s law alive. Close rivals Canon and Nikon, who also provide photolithography equipment for the less advanced chips market, have tried and failed due to the prohibitive R&D costs of the technology. They’ve been forced to accept a lesser position in the market.

Turning sand into gold

Times weren’t always this good. ASML’s origins lie in a leaky shed behind a high rise building in Eindhoven in 1984, where dutch conglomerate Philips, at the time a consumer electronics business, started a joint venture with ASM International, an association of scientists and engineers, to keep up with competitors manufacturing photolithography devices for the growing semiconductor market. Within a few months, the newly formed venture launched their first unoriginal product. In the years that followed, both Philips and ASM International sold off their stake due to scarce profits. 27 years later, all of the world’s top chip makers use ASML systems.

The launch of the of their PAS5500 step and scan in 1991 marked a turning point. The machine produced almost twice the number of wafers per hour compared to industry standards, pushing them to a market leading position. This earned them an invite to the US Department Of Energy’s EUV LLC programme, a consortium that brought other, mostly American, semiconductor firms together to fund the development and commercialisation of EUV technology in the 90s. On October 2000, the US government approved, after careful review, ASML’s acquisition of Silicon Valley group, the only other lithography manufacturer pursuing EUV. These two lucky encounters with Washington pushed ASML into the advanced chips territory unchallenged.

But luck wasn’t the only contributing factor to their success. Their rise to the top has been marked by three distinct advantages. First, a flair for software. They pioneered the model-driven approach to engineering, a superior framework to support their engineers in visualising abstract modules of software. Currently, a single machine can hold over 12 million lines of code to help control and steer its movements. Second, their collaborative nature. Cooperating with research organisations, customers and suppliers has meant that they’ve been able to better test their own tools and understand how their products interact with third party products. In 2012, they convinced three of their competing clients — Intel, Samsung and TSMC — to pour billions into their Customer Co-Investment Program, an R&D initiative to advance EUV technology for the greater good of the industry, a feat unheard of anywhere. Third, coordinating a strong supplier network. 90% of ASML’s machine components are outsourced to hundreds of vendors. This systems integrator role creates the most economic value. Since each component is niche, ASML holds bargaining power over their suppliers. It also means they don’t need to learn how to be the best in the world at manufacturing each individual component.

Chips on the table

Due to their ability to power both civilian and military tools, EUV systems hold significant strategic importance for governments. In November 2019, the Trump Administration pressured the Dutch government into preventing ASML from shipping an EUV machine to China, to block Beijing from gaining key components to advance their interests across potentially destabilising technologies such as artificial intelligence, hypersonic missiles or cyberweapons. As of July 2022, US officials are lobbying their Dutch counterparts again, to extend the existing ban to DUV systems, which are a generation behind EUV systems, but still the most common way of making less advanced chips. Banning DUV sales to China could cost ASML up to $3bn in yearly revenue. But it could buy the West more time in its arms race against China. Export restrictions have pushed Beijing to spend approximately $150bn to kickstart a national semiconductor industry from scratch. The Biden Administration believes Chinese companies are at least a decade away from replicating cutting edge semiconductor technology.

For now, ASML’s control over EUV technology gives Washington a single choke point with which to undermine China. But ASML is still a pawn caught in a much larger geopolitical chess game in which it will only benefit as long as it holds its monopoly. That’s unlikely to change any time soon.

--

--

Jiten Chablani

Strategy @ BT Group. I explore economics, innovation and growth strategy in the tech industry. Views are my own.